Intel Innovation 2023: Empowering Developers to Bring AI Everywhere

Intel Innovation 2023: Empowering Developers to Bring AI Everywhere

New Advances in Silicon, Packaging and Multi-Chiplet Solutions

The work begins with silicon innovation. Intel’s five-nodes-in-four-years process development program is progressing well, Gelsinger said, with Intel 7 already in high-volume manufacturing, Intel 4 manufacturing-ready and Intel 3 on track for the end of this year.

Gelsinger also showed an Intel 20A wafer with the first test chips for Intel’s Arrow Lake processor, which is destined for the client computing market in 2024. Intel 20A will be the first process node to include PowerVia, Intel’s backside power delivery technology, and the new gate-all-around transistor design called RibbonFET. Intel 18A, which also leverages PowerVia and RibbonFET, remains on track to be manufacturing-ready in the second half of 2024.

Another way Intel presses Moore’s Law forward is with new materials and new packaging technologies, like glass substrates – a breakthrough Intel announced this week. When introduced later this decade, glass substrates will allow for continued scaling of transistors on a package to help meet the need for data-intensive, high-performance workloads like AI and will keep Moore’s Law going well beyond 2030.

Intel also displayed a test chip package built with Universal Chiplet Interconnect Express (UCIe). The next wave of Moore’s Law will arrive with multi-chiplet packages, Gelsinger said, coming sooner if open standards can reduce the friction of integrating IP. Formed last year, the UCIe standard will allow chiplets from different vendors to work together, enabling new designs for the expansion of diverse AI workloads. The open specification is supported by more than 120 companies.

The test chip combined an Intel UCIe IP chiplet fabricated on Intel 3 and a Synopsys UCIe IP chiplet fabricated on TSMC N3E process node. The chiplets are connected using embedded multi-die interconnect bridge (EMIB) advanced packaging technology. The demonstration highlights the commitment of TSMC, Synopsys and Intel Foundry Services to support an open standard-based chiplet ecosystem with UCIe.

Increasing Performance and Expanding AI Everywhere

Gelsinger spotlighted the range of AI technology available to developers across Intel platforms today – and how that range will dramatically increase over the coming year.

Recent MLPerf AI inference performance results further reinforce Intel’s commitment to addressing every phase of the AI continuum, including the largest, most challenging generative AI and large language models. The results also spotlight the Intel Gaudi2 accelerator as the only viable alternative on the market for AI compute needs. Gelsinger announced a large AI supercomputer will be built entirely on Intel Xeon processors and 4,000 Intel Gaudi2 AI hardware accelerators, with Stability AI as the anchor customer.

Zhou Jingren, chief technology officer of Alibaba Cloud, explained how Alibaba applies 4th Gen Intel® Xeon® processors with built-in AI acceleration to “our generative AI and large language model, Alibaba Cloud’s Tongyi Foundation Models.” Intel’s technology, he said, results in “remarkable improvements in response times, averaging a 3x acceleration.”1

Intel also previewed the next generation of Intel Xeon processors, revealing that 5th Gen Intel® Xeon® processors will bring a combination of performance improvements and faster memory, while using the same amount of power, to the world’s data centers when they launch Dec. 14. Sierra Forest, with E-core efficiency and arriving in the first half of 2024, will deliver 2.5x better rack density and 2.4x higher performance per watt over 4th Gen Xeon and will include a version with 288 cores2. And Granite Rapids, with P-core performance, will closely follow the launch of Sierra Forest, offering 2x to 3x better AI performance compared to 4th Gen Xeon2.

Looking ahead to 2025, the next-gen E-core Xeon, code-named Clearwater Forest, will arrive on the Intel 18A process node.

Introducing the AI PC with Intel Core Ultra processors

AI is about to get more personal, too. “AI will fundamentally transform, reshape and restructure the PC experience – unleashing personal productivity and creativity through the power of the cloud and PC working together,” Gelsinger said. “We are ushering in a new age of the AI PC.”

This new PC experience arrives with the upcoming Intel Core Ultra processors, code-named Meteor Lake, featuring Intel’s first integrated neural processing unit, or NPU, for power-efficient AI acceleration and local inference on the PC. Gelsinger confirmed Core Ultra also will launch Dec. 14.

Core Ultra represents an inflection point in Intel’s client processor roadmap: It’s the first client chiplet design enabled by Foveros packaging technology. In addition to the NPU and major advances in power-efficient performance thanks to Intel 4 process technology, the new processor brings discrete-level graphics performance with onboard Intel® Arc™ graphics.

On stage, Gelsinger showed an array of new AI PC use cases, and Jerry Kao, chief operating officer of Acer, gave a sneak peek at an upcoming Acer laptop powered by Core Ultra. “We’ve been co-developing with Intel teams a suite of Acer AI applications to take advantage of the Intel Core Ultra platform,” Kao said, “developing with the OpenVINO toolkit and co-developed AI libraries to bring the hardware to life.”

Putting Developers in the Siliconomy Driver’s Seat

“AI going forward must deliver more access, scalability, visibility, transparency and trust to the whole ecosystem,” Gelsinger said.

To help developers unlock this future, Intel announced:

 

This is just the beginning of the news from Intel Innovation. Tune in on the Intel Newsroom at 9:30 a.m. PDT Wednesday to hear from Intel Chief Technology Officer Greg Lavender on more ways Intel is opening opportunities in AI for developers and accelerating the convergence of AI and security.